CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 乘法器 verilog

搜索资源列表

  1. ade

    0下载:
  2. 用verilog HDL语言实现一个8位串行乘法器-An 8-bit serial multiplier with Verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:699
    • 提供者:张山
  1. mul_addtree

    0下载:
  2. 用verilog HDL语言实现一个4位的流水线乘法器-Achieve a 4-bit pipelined multiplier using Verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:675
    • 提供者:张山
  1. 5-6

    0下载:
  2. 用verilog实现节省乘法器的16位复数乘法-16-bit complex multiplication verilog to achieve savings multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:1512
    • 提供者:张山
  1. multi_CX

    0下载:
  2. 实现8*8串行乘法器的verilog源代码,经过调试的哦!-8* 8 serial multiplier verilog source code, after debugging Oh!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:3420
    • 提供者:麦涛涛
  1. multi_4bits_pipelining

    0下载:
  2. 实现4*4流水线乘法器的verilog源代码,在FPGA板上运行-4* 4 pipelined multiplier verilog source code, running on the FPGA board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:3291
    • 提供者:麦涛涛
  1. Chapter16-Multiplier

    0下载:
  2. 书籍《精通Verilog HDL语言编程》中第16章的程序实例代码,是关于常用乘法器的设计的,对于初学者有一定的帮助-Book "Proficient in Verilog HDL language programming" in Chapter 16 of the procedure code, the common multiplier designed for beginners will certainly help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:2706
    • 提供者:vb
  1. 16bits_multiplier

    1下载:
  2. 这是一个有符号的16位乘法器的设计,包含详细的设计报告和全部的verilog代码。乘法器采用booth编码,4-2压缩,超前进位结构-This is a signed 16-bit multiplier design, detailed design reports and contains all of the verilog code. Multiplier using booth encoding ,4-2 compression, lookahead structure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:606407
    • 提供者:
  1. chengfaqi

    0下载:
  2. 通过verilog hdl语言实现伽罗华域GF(q)乘法器设计-By verilog hdl language Galois field GF (q) Multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1634
    • 提供者:李永超
  1. SUANSHUJISUAN

    0下载:
  2. 通过verilog hdl实现加法器乘法器,除法器的设计-Achieved through verilog hdl adder multiplier, divider design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5575
    • 提供者:李永超
  1. booth-16_16-multiplier

    0下载:
  2. 由verilog编写的利用booth编码的16*16有符号乘法器的代码,没有pipeline-a 16*16 multiplier with booth coding by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:11617
    • 提供者:pyc
  1. mux16

    1下载:
  2. 十六位乘法器的verilog hdl 实现 及 modelsim 仿真 环境为quartusii9.0 自动调用modelsim 6.5输出仿真结果-fpga verilog hdl modelsim quartusii 16-bit multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1327312
    • 提供者:andrew
  1. mux4booth

    0下载:
  2. fpga 使用verilog hdl 语言,quartusii 9.0编程环境,使用2booth算法设计的4bit乘法器。可以扩展为16bit乘法器。-fpga verilog hdl ,quartusii 9.0 ,2booth 4bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:718509
    • 提供者:andrew
  1. N-bits-by-M-bits

    0下载:
  2. 这是一个verilog代码实现的常用乘法器。设计的是通用N比特乘M比特的二进制乘法器-This is a common multiplier verilog code. Design of a generic N bits by M bits of the binary multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2656
    • 提供者:祖兴水
  1. multiplier

    0下载:
  2. 乘法器的verilog工程文件,可以进行仿真实验,有详细解释,适合初学者学习参考。-Multiplier verilog project file, can be simulated, with detailed explanations, suitable for beginners to learn.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:3332548
    • 提供者:
  1. mult

    0下载:
  2. verilog编写的8x16常变量乘法器,可用quartus仿真-verilog prepared 8x16 often variable multiplier, available quartus simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1207
    • 提供者:chrisxu
  1. FPGA_multiplier

    0下载:
  2. 本源码是用verilog语言编写的FPGA乘法器,可以输入两个8位数据,出输16位结果。-The source code is written in verilog FPGA multiplier, you can enter two 8-bit data, the output 16 results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:706
    • 提供者:黄华
  1. verific_evaluation

    0下载:
  2. 这是一个比较大的数字逻辑电路的verilog代码,具有版权保护,可以实现多输入乘法器。-This is a relatively large verilog code digital logic circuits, with copyright protection, you can achieve multiple-input multiplier.
  3. 所属分类:software engineering

    • 发布日期:2017-05-28
    • 文件大小:10395088
    • 提供者:lihe
  1. altfp_mult_abs

    0下载:
  2. 浮点数 乘法器带绝对值运算 verilog语言编写 可直接调用-Floating-point multiplier verilog language with absolute operation can be called directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:324785
    • 提供者:linyi
  1. booth_multiply

    0下载:
  2. 布斯乘法器,采用verilog语言实现 经过modelsim仿真-Booth multiplier using verilog language through modelsim simulation
  3. 所属分类:Software Testing

    • 发布日期:2017-04-10
    • 文件大小:526
    • 提供者:
  1. Verilog_100exaples

    0下载:
  2. Verilog的100个经典设计实例,包括交通灯的设计代码,智能时钟的设计代码,各种加法器。乘法器的设计代码-100 classic Verilog design examples, including the traffic light design code, intelligent clock design code, a variety of adder. Multiplier code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:113637
    • 提供者:钟朗朗
« 1 2 ... 4 5 6 7 8 910 11 »
搜珍网 www.dssz.com